Дипломы, курсовые, рефераты, контрольные...
Срочная помощь в учёбе

Разработка методов цифровой фильтрации в задачах мониторинга широкого частотного диапазона

ДиссертацияПомощь в написанииУзнать стоимостьмоей работы

Успехи последних десятилетий в медицине следует отнести, прежде всего, к новым методам диагностики — УЗИ, радиоволновое сканирование, томография, тепловизор. Все это — наблюдение реакции организма на облучение в различных частотных диапазонах. Лучше говорить даже не о диапазонах, а о нескольких частотах, выбранных далеко не всегда из соображений наибольшей контрастности реакций организма, а часто… Читать ещё >

Содержание

  • 1. Цифровая фильтрация в задачах мониторинга широкого частотного диапазона
    • 1. 1. Понятие и определение широкого частотного диапазона
    • 1. 2. Особенности и характерные черты задач мониторинга ШЧД
    • 1. 3. История развития и современное состояние теории цифровой фильтрации
    • 1. 4. Реализация цифровых фильтров. Программно-аппаратная база
      • 1. 4. 1. Современный подход к синтезу фильтров
      • 1. 4. 2. Реализация фильтров на процессорах ЦОС
      • 1. 4. 3. Реализация фильтров на ПЛИС
    • 1. 5. Выводы по главе
  • 2. Разработка алгоритмов многоканальной цифровой фильтрации в широком частотном диапазоне
    • 2. 1. Постановка задачи и общие замечания
    • 2. 2. Банк цифровых фильтров
    • 2. 3. Методы построения банков цифровых фильтров
      • 2. 3. 1. ДПФ с расширенным весовым окном
      • 2. 3. 2. Конвейерное Частотное Преобразование
      • 2. 3. 3. Взвешенное перекрывающееся сложение
    • 2. 4. Выводы по главе
  • 3. Синтез цифровых фильтров, эффективных по критерию минимума вычислительной сложности и аппаратных затрат
    • 3. 1. Общие замечания
    • 3. 2. Этапы разработки цифровых фильтров
    • 3. 3. Структуры цифровых фильтров для многоканальной фильтрации в ШЧД
      • 3. 3. 1. Цифровые фильтры без умножений
      • 3. 3. 1. Цифровые фильтры в конечных полях
      • 3. 3. 3. Фильтры с симметрией АЧХ
    • 3. 4. Сравнение рассмотренных методов цифровой фильтрации
    • 3. 5. М-Октавная фильтрация
    • 3. 6. Выводы по главе
  • 4. Методы цифровой фильтрации при разработке систем и устройств, предназначенных для задач мониторинга широкого частотного диапазона
    • 4. 1. Постановка задачи
    • 4. 2. Широкополосные приёмники прямого преобразования
    • 4. 3. Комплекс окончательной обработки данных, поступающих из приёмника прямого преобразования
    • 4. 4. Выводы по главе

Разработка методов цифровой фильтрации в задачах мониторинга широкого частотного диапазона (реферат, курсовая, диплом, контрольная)

В последние годы перед различными военными ведомствами и гражданскими службами все острее встает проблема реализации систем мониторинга широкого частотного диапазона. Многими государственными и коммерческими организациями разных стран был разработан целый ряд аппаратно-программных комплексов (АПК) для решения задач мониторинга широкого частотного диапазона, нашедших применение в системах радиосвязи, технике и промышленности, при исследовании геофизических полей земли и океана. Тем не менее, в отдельных областях эта проблема полностью не решена. До недавнего времени развивались в основном ведомственные системы, основанные на использовании специализированных управляющих процессорных блоков и медленных, по сегодняшним меркам, устройств и алгоритмов цифровой обработки сигналов (ЦОС). Обширное использование АПК широкого частотного диапазона, в их современном виде, началось с появлением и развитием микропроцессорной техники. Сегодняшний день предъявляет новые повышенные требования к функциональности существующих систем, их постоянной модернизации.

В связи с постоянно повышающимися требованиями по качеству функционирования, стремительно растёт и сложность различных систем мониторинга широкого частотного диапазона. Это приводит к необходимости полной автоматизации таких систем, внедрения новых средств и методов, позволяющих уменьшить время реакции, аппаратные затраты, повысить скорость, надёжность и стабильность обработки данных.

Особенно остро проблемы мониторинга широкого частотного диапазона встают в военной сфере, где одной из самых приоритетных национальных задач является жёсткий контроль радиоэфира, от качества осуществления которого напрямую зависит национальная безопасность. Большинство современных вооружений, так или иначе, используют при своём функционировании радиосигналы различной частоты и длительности, что даёт возможность осуществлять боевые действия бесконтактно, с 4 минимальными потерями. Таким образом, для эффективного ведения боевых действий необходимо обеспечить возможно более полный контроль над радиоэфиром. Это подтверждается и тем фактом, что в последнее время перед началом всех крупных военных операций в зонах будущих боевых действий, по статистике, резко возрастал радиообмен, а все «антитеррористические операции» стран НАТО начинались с обнаружения и полного подавления РЛС противника, после которого активное сопротивление было бессмысленно. Как показывает опыт этих военных конфликтов, установление контроля над радиоэфиром давало одной из противоборствующих сторон подавляющее преимущество. Помимо всего, в военной сфере накладывается ряд дополнительных, довольно жёстких, ограничений на массогабаритные, качественные и надежностные параметры систем мониторинга широкого частотного диапазона, а также на возможность их применения в тех или иных условиях. Так, например, в отдельных случаях запрещено активное сканирование пространства, но при этом все равно необходимо в пассивном режиме оперативно получать информацию об окружающих радиообъектах. Одним из самых главных требований к функционированию комплексов мониторинга широкого частотного диапазона в военной сфере является обеспечение работы таких комплексов в реальном масштабе времени, что приводит к необходимости совершенствования алгоритмов цифровой обработки сигналов, и в первую очередь цифровой фильтрации, в направлении уменьшения времени обработки, минимизации вычислительных и аппаратных затрат.

Наблюдающееся в настоящее время бурное развитие телекоммуникационного сектора экономики, наряду с другими тенденциями, характеризуется быстро расширяющимся применением цифровых технологий в действующих и перспективных системах связи, радиовещания, телевидения и т. д. Это связано, прежде всего, с известными преимуществами применения цифровых сигналов: высокой потенциальной помехоустойчивостью, возможностями оптимизации использования частотного спектра, перспективами применения в различных телекоммуникационных и информационных системах универсальных аппаратных и программных решений.

Одним из ключевых факторов развития в этом направлении выступает технологический прогресс. Наиболее общую форму оценки прогресса в области микроэлектроники дает «закон Мура» [1, 2]: производительность интегральных схем, измеряемая операциями/сек, и объем памяти в единице площади удваиваются каждые 18 месяцев, а стоимость микросхем при этом уменьшается на 50%.

Современные технологии в микросхемотехнике, в частности, нанотехнологии, стали возможны благодаря развитию средств мониторинга, которое всё время шло в направлении увеличения частотного диапазона и улучшения частотного разрешения. Наноразмеры потребовали разработки новых методов контроля и измерений. Электромагнитные волны уже не отвечали всем требованиям, пришлось перейти на частоты светового диапазона, в результате чего стали активно развиваться методы интерферометрии. Но и этот диапазон частот к настоящему времени пройден. Сейчас нанотехнологичные отрасли науки и промышленности используют такие методы мониторинга, как ядерный магнитный резонанс, электронный парамагнитный резонанс, лазерное сканирование, которые основаны на физических эффектах, использующих свойства частотной избирательности материи.

Успехи последних десятилетий в медицине следует отнести, прежде всего, к новым методам диагностики — УЗИ, радиоволновое сканирование, томография, тепловизор. Все это — наблюдение реакции организма на облучение в различных частотных диапазонах. Лучше говорить даже не о диапазонах, а о нескольких частотах, выбранных далеко не всегда из соображений наибольшей контрастности реакций организма, а часто из технических возможностей аппаратуры, поэтому дальнейшее совершенствование такой аппаратуры позволит вывести диагностику болезней на совершенно иной уровень. Соответственно, главное направление совершенствования медицинской аппаратуры мониторинга лежит в области улучшения частотного разрешения и расширения диапазона частот приборов.

Всё это стало доступным благодаря достижениям ЦОС, призванной решать задачи приема, формирования, обработки и передачи информации в реальном масштабе времени [3]. Осуществление сложных алгоритмов ЦОС требует, соответственно, применения эффективных базовых алгоритмов ЦОС, в первую очередь, цифровой фильтрации, на которую зачастую расходуется половина имеющихся аппаратных средств.

Основная научная проблематика в области цифровой фильтрации заключена в разработке путей преодоления ограничений обусловленных имеющимися ресурсами: возможностями элементной базы, допустимой величиной программно-аппаратных затрат. Методы проектирования цифровых фильтров, объединяющие синтез в спектральной области по заданным величинам рабочих параметров с приемами, учитывающими эти ограничения, позволяют получить решения, близкие к оптимальным в смысле минимизации результирующих затрат и времени анализа.

Задача создания эффективных методов цифровой фильтрации в системах мониторинга широкого частотного диапазона, базирующаяся на последних достижениях теории цифровой обработки сигналов, является весьма актуальной, тем более что накопленный опыт разработки и использования процессоров цифровой обработки сигналов (ПЦОС), программируемых логических интегральных схем (ПЛИС), стимулируют создание новых более совершенных и производительных типов этих микросхем, в архитектуре которых должны быть заложены возможности воплощения эффективных алгоритмов ЦОС и, в частности, цифровой фильтрации [4].

Таким образом, в настоящее время существует актуальная научно-техническая проблема разработки методов цифровой фильтрации для задач мониторинга широкого частотного диапазона (ШЧД), обеспечивающих необходимое качество и эффективность обработки. Под эффективностью в данном случае понимается уменьшение времени анализа, минимизация вычислительных и аппаратно-программных затрат.

Состояние вопроса в рассматриваемой области характеризуется следующими основными достижениями. Вопросы передачи и обработки дискретных сигналов, включая построение эффективных алгоритмов цифровой фильтрации, рассматривались в работах Э. Айфичера, Р. Блейхута, Б. Голда, Б. Джервиса, А. Константинидеса, Г. Лэма, Дж. Макклелана, А. Оппенгейма, Т. Паркса, Л. Рабинера, Р. Хемминга [4−13]. Заметный вклад в развитие цифровой фильтрации внесли отечественные ученые В. В. Витязев, Л. М. Гольденберг, В. П. Дворкович, А. А. Ланнэ, Б. Д. Матюшкин, А. Б. Сергиенко, А. И. Солонина [14−19].

Публикация работ, посвященных глубокому исследованию отдельных способов увеличения скорости обработки, сокращения сложности алгоритмов цифровой фильтрации [9, 11], свидетельствует о насущной необходимости обобщающего подхода в этом направлении.

Обзор результатов новых исследований в данной области показывает, что они могут быть сгруппированы по следующим основным направлениям:

— исследование и синтез новых структурных схем цифровых фильтров (ЦФ), обеспечивающих низкую чувствительность характеристик к изменениям коэффициентов ЦФ или полную замену коэффициентов эквивалентными преобразованиями;

— разработка новых типов ЦФ, обеспечивающих существенное сокращение времени фильтрации, для реализации которых требуется выполнение уменьшенного объема арифметических операций;

— развитие новых методов аппроксимации, постановка и решение новых аппроксимационных задач.

Работы первого направления восходят к 1971 году, когда А. Феттвейс опубликовал первую работу, излагающую концепцию волновых фильтров [12]. Важность этого направления обуславливается тем, что структуры с низкой чувствительностью требуют всего нескольких бит в кодовом слове коэффициента и, следовательно, они обеспечивают возможность эффективной реализации ЦФ. Кроме того, в рамках этого подхода был предложен метод синтеза рекурсивных ЦФ в виде параллельного соединения всепропускающих цепей, который оказался очень продуктивным при решении задачи конверсии частоты дискретизации [20]. Обобщающие результаты по синтезу низкочувствительных ЦФ содержатся в работах П. Вадьянатхана [20], в которых волновые, лестничные и ортогональные ЦФ получаются как частные случаи общего подхода. Эффективная реализация ЦФ, требующая уменьшенной величины объема выполняемых арифметических операций, возможна не только за счет уменьшения чувствительности.

После появления в 1983 году работы Дж. Макклелана и Ч. Рейдера [9], а в 1985 Р. Блейхута [6] внимание было привлечено к применению для целей уменьшения числа арифметических операций в фильтре специальной организации массивов данных в виде конечных алгебраических структур (групп, колец, полей), что создаёт предпосылки для применения структурных теорем алгебры и теории чисел. Это позволяло строить практически приемлемые алгоритмы, обеспечивающие работу в реальном масштабе времени.

Развитие методов аппроксимации связано, прежде всего, с постановкой и необходимостью решения новых задач: расчетом фильтров с максимально-прямоугольной амплитудно-частотной характеристикой в полосе пропускания и равнопульсирующей в полосе задерживания [21];

— расчетом фильтров при учете одновременных требований как к амплитудно-частотной, так и к фазочастотной характеристикам [22].

Вопросы многоканальной цифровой фильтрации с изменением частоты дискретизации тесно примыкают к задаче собственно конверсии частоты дискретизации. Их сходство и различие неоднократно рассматривались многими авторами, начиная с 1974 года. Наиболее полно рассмотрены два типа структур: многоступенчатая и полифазная. Для них решены апроксимационные задачи с разными типами фильтров, включая нерекурсивные ЦФ с комплексными коэффициентами [17, 23, 24].

Значительно меньше исследованы задачи многоканальной фильтрации без преобразования частоты дискретизации.

Работ по многополосной фильтрации с сохранением относительной ширины полосы к настоящему времени практически не представлено, за исключением алгоритма m-октавной фильтрации BIFORE [25]. Следует отметить, что это направление представляется очень перспективным, в силу использования октавной фильтрации в алгоритмах вейвлет-анализа.

Цель исследования — повышение эффективности алгоритмов и устройств ЦФ в задачах мониторинга широкого частотного диапазона путем разработки методов их построения, оптимизирующих использование программных и аппаратных средств.

Для достижения цели исследования необходимо решить следующие задачи:

1. Анализ свойств и структур различных типов цифровых фильтров для обработки информации в задачах мониторинга ШЧД, а также анализ характеристик алгоритмов ЦФ.

2. Анализ соответствия характеристик ЦФ характеристикам обрабатываемых сигналов.

3. Разработка методов и путей совершенствования алгоритмов и устройств ЦФ в задачах мониторинга ШЧД, определение условий целесообразности их использования.

4. Определение перспективных направлений технической реализации и внедрения разработанных методик, алгоритмов и устройств при создании оборудования мониторинга ШЧД.

Методы исследований.

Перечисленные задачи решены методами теории линейной аппроксимации, теории гармонического и спектрального анализа, теории z — преобразования, теории групп, теории конечных полей. Для подтверждения полученных теоретических результатов использовались методы численного анализа и моделирования. Научная новизна.

1. Предложен новый подход к использованию банков цифровых фильтров в задачах мониторинга ШЧД, заключающийся в процедуре последовательной детализации выбранных частотных диапазонов. Усовершенствованы с учётом особенностей современной аппаратной базы методы построения банка цифровых фильтров: дискретное преобразование Фурье (ДПФ) с расширенным весовым окном, конвейерное частотное преобразование (КЧП), взвешенное перекрывающееся сложение (ВПС) — и определены условия целесообразности их применения.

2. Разработан метод синтеза структуры цифровых фильтров без умножений на основе частотных характеристик его компонентов.

3. Предложены структура и способ представления данных для реализации цифровых фильтров — цифровые фильтры в конечных полях, позволяющие распараллелить вычисления, практически полностью отказаться от операции умножения в фильтре, существенно сократить аппаратные затраты.

4. Обобщён метод симметрирования амплитудно-частотной характеристики (АЧХ), позволяющий более чем в три раза сократить объём вычислений, для основных типов избирательности фильтров. Произведено исследование эффективности применимости метода. Выполнены численные оценки эффективности. Приведены специализированные структуры для аппаратной реализации фильтров.

5. Разработан метод m-октавной фильтрации, позволяющий значительно сократить объём вычислений.

Практическая ценность.

1. Разработанные методики синтеза ЦФ и предложенные на этой основе структурные схемы обеспечивают создание эффективных блоков цифровой фильтрации для оборудования мониторинга ШЧД при повышении качества обработки информации, сокращении времени анализа, минимизации программно-аппаратных затрат на их реализацию.

2. Адаптация разработанных методов цифровой фильтрации к современной аппаратной базе ЦОС — процессорам ЦОС, ПЛИСам.

3. На основе результатов диссертационных исследований внедрены новые технические решения, подтверждённые актами о внедрении. Реализация результатов работы.

Результаты диссертационной работы использовались:

— в работах по созданию радиоприёмных устройств (ОКР «Жасмин-СПВ» ФГУП «НИИ «Вектор» г. Санкт-Петербург);

— в работах по созданию аппаратуры пеленгования («Жасмин-2М» ФГУП «НИИ «Вектор» г. Санкт-Петербург);

— в НИР, выполнявшихся по заказам ФГУП «НИИ «Вектор», ОАО «Концерн «РТИ-Системы» г. Санкт-Петербург.

Работа поддержана персональными грантами ректора СПбГЭТУ для студентов и аспирантов за 2007, 2008 гг., грантом правительства Санкт-Петербурга за 2008 г. для аспирантов, персональным грантом фонда содействия развитию малых форм предприятий в научно-технической сфере по программе УМНИК на выполнение НИОКР по теме «Разработка цифровых фильтров в полях Галуа» (№ У-2008;6/3 «Фильтр», 2008).

Внедрение результатов диссертационной работы и достигнутый при этом эффект подтверждены соответствующими актами.

Диссертационная работа состоит из введения, четырех глав, заключения, списка литературы и приложений.

4.4 Выводы по главе.

1. Предложен способ построения широкополосного приёмника прямого преобразования.

2. Основу блока цифровой фильтрации в приёмнике составляют фильтр без умножений и фильтр с симметричной АЧХ, что позволило существенно сократить аппаратные затраты и повысить скорость работы приёмника.

3. Разработана схема и алгоритмы комплекса обработки данных от приёмника прямого преобразования, определены его характеристики. Результаты испытаний подтвердили высокую степень надежности и экономичности разработанных устройств.

Заключение

.

Рассмотрены задачи, связанные с мониторингом широкого частотного диапазона в различных областях науки и техники. Сформулированы основные требования к устройствам ЦФ и проблемы при разработке методов ЦФ в задачах мониторинга широкого частотного диапазона.

Исследованы основные свойства структур и характеристик цифровых фильтров. Обоснована необходимость совершенствования эффективности устройств ЦФ, создания методики проектирования алгоритмов и устройств ЦФ, ориентированной на синтез с использованием современной элементной базы — ПЛИС, сигнальных процессоров. Показано, что основные характеристики алгоритмов и устройств ЦФ в значительной мере определяются числом выполняемых арифметических операций.

Изменена схема первичной обработки данных в задачах мониторинга ШЧД. Обоснована целесообразность использования банка цифровых фильтров в задачах мониторинга ШЧД, требующих высокой скорости обработки. Произведено сравнение трёх методов построения банка цифровых фильтров: ДПФ с расширенным весовым окном, КЧП, ВПС.

Предложен метод синтеза цифровых фильтров без умножений на основе полученных ПФ и совокупности частотных характеристик элементарных звеньев, образующих фильтр. Предложена новая элементарная структура второго порядка, значительно расширившая область использования и упростившая синтез фильтров.

Исследована возможность реализации ЦФ в конечных полях. Предложена структура для представления ЦФ в конечных полях. Показана возможность сокращения аппаратных затрат при реализации ЦФ в конечных полях на ПЛИС. Рассмотрены структуры для представления операции умножения и сложения в конечных полях. Установлено, что реализация ЦФ в конечных полях на ПЛИС позволяет в несколько раз сократить число логических элементов ПЛИС, а также практически полностью отказаться от использования аппаратных умножителей ПЛИС. Доказано, что реализация.

ЦФ в конечных полях позволяет на несколько порядков уменьшить погрешности округления и квантования ЦФ.

Рассмотрено влияние симметрирования АЧХ ЦФ на импульсную характеристику цифровых фильтров. На основе оценки этого влияния предложен метод сведения АЧХ цифровых фильтров к симметричному виду путём ужесточения требований к цифровому фильтру. Расширена область применимости метода симметрирования АЧХ, засчёт разбиения исходного фильтра на две симметричные структуры. Показано, что симметрирование АЧХ позволяет на 75% уменьшить количество ненулевых коэффициентов импульсной характеристики ЦФ. Установлено, что реализация фильтров с симметрией на ПЛИС даёт возможность сократить аппаратные затраты, в частности существенно уменьшить число используемых аппаратных умножителей, а следовательно повысить эффективность метода. Предложен метод наиболее эффективной реализации симметричных фильтров на ПЦОС. Такая реализация ПЦОС позволяет до трёх раз уменьшить количество тактов на один отсчёт входного сигнала, что даёт возможность повысить частоту обрабатываемых данных.

Предложен эффективный метод многополосной цифровой фильтрации — m-октавная фильтрация. Рассмотрен метод октавной фильтрации с сокращением объёма вычислений и его реализация на ПЛИС. На его основе предложен алгоритм m-октавной фильтрации. Доказано, что реализация на ПЛИС метода октавной фильтрации с сокращением объёма вычислений позволяет на две трети сократить количество используемых аппаратных умножителей ПЛИС, а также повысить скорость обработки.

Разработана структура и схема широкополосного приёмника прямого преобразования, включающая в алгоритмы цифровой фильтрации разработанные методы сокращения вычислений. Проанализированы основные параметры приёмника прямого усиления и вклад предлагаемых методов цифровой фильтрации в достижение заданных параметров.

Вся техническая документация на приёмник прямого усиления и программное обеспечение к нему переданы для серийного производства на НПО «Интеграл».

На реализацию диссертационной работы был предоставлен грант Правительства Санкт-Петербурга для аспирантов за 2008 год.

Промежуточные результаты диссертационной работы стали основанием для выполнения НИОКР по соглашению с фондом содействия развитию малых форм предприятий в научно-технической сфере в рамках программы «Участник молодежного научно-инновационного конкурса» («У.М.Н.И.К.»).

Новые технические решения защищены патентом на полезную модель.

Результаты диссертационной работы успешно внедрены при выполнении исследований и разработок в различных отраслях, в том числе по заказам Минобороны России.

Внедрение результатов диссертационной работы и достигнутый при этом эффект подтверждены соответствующими актами.

Показать весь текст

Список литературы

  1. Концепция развития отрасли «Связь и информатизация» Российской Федерации. / Под ред. Л. Д. Реймана и Л. Е. Варакина М. MAC, 2001 г. 340 с.
  2. Кох Р., Яновский Г. Г. Эволюция и конвергенция в электросвязи. М: Радио и связь 2001 г. 280 с.
  3. Ю.Б., Витязев В. В., Дворкович В. П. Цифровая обработка сигналов информатика реального времени. Цифровая обработка сигналов. № 1, 1999 г., с.5−17.
  4. Введение в цифровую фильтрацию. / Под ред. Р. Богнера и А. Константинидиса. Мир 1976 г. 216 с.
  5. Э., Джервис Б. Цифровая обработка сигналов: практический подход, 2-е издание.: Пер. с англ.- М.: Издательский дом «Вильяме», 2004. 992 с.: ил.
  6. Р. Быстрые алгоритмы цифровой обработки сигналов: Пер. с англ. М.: Мир, 1989. — 448 е., ил.
  7. ., Рэйдер Ч. Цифровая обработка сигналов(с приложением работы Д. Кайзера «Цифровые фильтры»): Пер. с англ. / Под ред. A.M. Трахтмана. М.: Сов. радио, 1973. — 368 с.
  8. Лэм Г., Аналоговые и цифровые фильтры: Расчет и реализация, М.: Мир, 1982.
  9. Дж., Рейдер Ч. Применение теории чисел в цифровой обработке сигналов. М.: Радио и связь. 1983 г. 264 с.
  10. А.В., Шафер Р. В. Цифровая обработка сигналов: Пер. с англ. / Под ред. С .Я. Шаца. М.:Связь, 1979. — 416 е., ил.
  11. Л., Голд Б. Теория и применение цифровой обработки сигналов.- М.: Мир 1978 г. 848 с.
  12. А. Волновые цифровые фильтры: Теория и применение. ТИИЭР т.74 № 2 февраль 1986 г. С.35−99.
  13. Р. Цифровые фильтры. М. Сов. Радио. 1980 г.
  14. А.А., Матюшкин Б. Д., Улахович Д. А. Основы цифровойобработки сигналов: Учеб. пособие.-Спб.: ГУТ. 1998. к
  15. Л.М., Матюшкин Б. Д., Поляк М. Н. Цифровая обработка сигналов: Справочник. -М.: Радио и связь 1985 г. 312 с.
  16. Ю.Б., Дворкович В. П. Основные проблемы цифровой обработки изображений и использования цифрового телевидения в России // Электросвязь. 1997 г. № 8. С/6−10.
  17. В.В. Цифровая частотная селекция сигналов — М. Радио и связь. 1993 г. 323с.
  18. М.С., Матюшкин Б. Д. Цифровая обработка сигналов: процессоры, алгоритмы, средства проектирования. СПб: Политехника. 1999 г. 592с.
  19. А.И. Солонина, Д. А. Улахович, С. М. Арбузов, Е. Б. Соловьева, И. И. Гук. Основы цифровой обработки сигналов: Курс лекций— СПб.: БХВ-Петербург, 2003−608 с.
  20. П.П. Цифровые фильтры, блоки фильтров и полифазные цепи с многочастотной дискретизацией: Методический обзор -ТИИЭР т.78 № 3 1990 г. с.77−120.
  21. Vaidyanathan P.P. Efficient and multiplierless design of FIR filters with vary sharp cutoff via maximally flat building blocks. IEEE Trans, vol. CAS-32, № 3,March 1985, p.23 6−244.
  22. Т., Renfors M. «Nth-band filter design» in Proc EUSIPCO'98 (Rhodos, Greece), p. 1943−1948, September 1998.
  23. Fliege N. Multirate digital signal processing: multirate systems, filter banks, wavelets. John Wilcey & sons. 1994. 340 p.
  24. Vetterli M. A Theoiy of multirate filter banks. IEEE Trans, vol. ASSP-35№ 3 p.336−372 March 1987.
  25. Ахмед H., Pao K.P. Ортогональные преобразования при обработке цифровых сигналов: Пер. с англ/ Под. ред. И.Б. Фоменко-М.: Связь, 1 980 248 е., ил.
  26. ГОСТ 24 375–80 Радиосвязь. Термины и определения.
  27. Дж., Пирсол А. Применения корреляционного и спектрального анализа. -М.Мир. 1983 г.
  28. В. Н. Проблемы философского обоснования системных исследований // Системные исследования: Методологические проблемы. — М.: Наука, 1984.
  29. А.В., Матвеев С. А. Методы компьютерной обработки сигналов систем радиосвязи. М.: Изд-во «СОЛОН-Пресс», 2003. — 208 с.
  30. Ю.Б., Зелевич Е. П., Петров М. С. Перспективы развития цифрового вещания в России. Электросвязь, № 3, 2002 г.
  31. В.А., Стромков А. А. Выделение сигнала из помех численными методами. Нижний Новгород: НПФ РАН, 2001. 188 с.
  32. В. Широкополосные системы и кодовое разделение сигналов. Принципы и приложения. Москва: Техносфера, 2007. 488 с.
  33. У. Применение высокоскоростных систем. Москва: Техносфера, 2009. 368 с.
  34. У. Проектирование смешанных и цифровых сигналов. Москва: Техносфера, 2009. 400 с.
  35. Ф. Использование окон при гармоническом анализе методом ДПФ. ТИИЭР т.66 № 1 1978 г. с.60−96.
  36. Jonh Lillington, СТО, RF Engines Limited, www.rfel.com, 2002 г.
  37. Р.Е., Рабинер Л. Р. Интерполяция и децимация цифровых сигналов. 1981 ТИИЭР. Т.69., № 3, март, стр. 77−120.
  38. А.И., Улахович Д. А., Яковлев Л. А. Алгоритмы и процессоры ЦОС. СПб.: БКВ — Петербург. 2001 г. 464 с.
  39. В.Б. ПЛИС фирмы ALTERA: проектирование устройств обработки сигналов. -М.: ДОДЕКА, 2000. 128 с.
  40. Р. Грушвицкий, А. Мурсаев, Е. Угрюмов. Проектирование систем на микросхемах программируемой логики. — СПб.: БХВ-Петербург, 2002.— 608 е.: ил.
  41. В.П. Еремеев, А. Г. Матвеев, А. В. Ануфриева. Новый класс нерекурсивных цифровых фильтров без умножений./ 3-я Международная конференция «Цифровая обработка сигналов и её применения». Москва, 2000 г.
  42. Н.И. Червяков, И. В. Дьяченко. Принципы построения модулярных сумматоров и умножителей. Сборник научных трудов. Зеленоград: 2006.
  43. А.А., Меркучева Т. В. «Синтез нерекурсивных фильтров и корректоров с симметричными амплитудно-частотными характеристиками» // Информация и космос. 2007. — № 4. — С. 10−16., 2008. — № 1. — С. 45−55.
  44. И. А. «Анализ эффективности нерекурсивных цифровых фильтров с симметричными и симметрируемыми характеристиками» дипломная работа СПбГУТ, 2008.
  45. С. Н. «Экстремальные свойства полиномов», ОНТИ НКПГ, 1937.
  46. А. А. «Синтез нерекурсивных цифровых фильтров с симметричными характеристиками» // Радиоэлектроника. 1995. — Т.38 — № 3 -4.-С. 38−60.
  47. Nelson G., Pfeifer L., Wood R. High-Speed Octave Band Digital Filtering. IEEE Transactions on audio and electroacoustics. Vol. AU-20, no.l. 1972.
  48. Проектирование радиоприёмных устройств. Под. ред. А. П. Сиверса. Учебное пособие для вузов. М., «Сов. радио», 1976.
  49. О.В. Радиоприёмные устройства. Учеб. для техникумов — М.: Высш. Шк., 1987 440 е.: ил.
  50. Д.И. Цифровые фильтры без умножений/ Д. И. Каплун // Компоненты и технологии. 2007. — № 2. — С. 132−135.
  51. Д.И. Банк цифровых фильтров / Л. Г. Азаренков, И. И. Канатов, Д. И. Каплун // Компоненты и технологии. — 2007 — № 10. С. 156 161.
  52. Д.И. Цифровые фильтры в конечных полях / Д. И. Каплун //Известия СПбГЭТУ «ЛЭТИ». 2008. — № 6. — С. 33−42.
  53. Д.И. Синтез нерекурсивных цифровых фильтров без умножений/ Д. И. Каплун, А.Ю. Супян// Известия СПбГЭТУ «ЛЭТИ». 2008. -№ 10.
  54. Д.И. Методы построения банка цифровых фильтров: конвейерное частотное преобразование и взвешенное перекрывающееся сложение / Л. Г. Азаренков, И. И. Канатов, Д. И. Каплун // Современная электроника. 2008.- № 3. — С. 48−51.
  55. Д.И. Цифровые фильтры в полях Галуа / М. Гуленко, Д. И. Каплун // Компоненты и технологии. 2008. — № 3. — С. 168−172.
  56. Д.И. Цифровые фильтры без умножений / Д. И. Каплун // Завалишинские чтения'08 (сборник трудов конференции).- 2008. С. 86−92.
  57. Д.И. Реализация цифровых фильтров в конечных полях/ Д. И. Каплун // 63-я научно-техническая конференция, посвящённая Дню радио (сборник трудов конференции).- 2008. С. 59−60.
  58. Д.И. Метод октавной фильтрации с сокращением объёма вычислений на ПЛИС / Д. И. Каплун // Компоненты и технологии. 2008. -№ 9.-С. 131−134.
  59. Д.И. Реализация цифровых фильтров в полях Галуа / Д. И. Каплун // Управление и информационные технологии (УИТ-2008) (сборник трудов конференции).- 2008. С. 27−33.
  60. Каплун Д.И. Octave Band Digital Filtering on PLD / Д. И. Каплун // 12-я международная Балтийская олимпиада по автоматическому управлению (сборник трудов конференции).- 2008. С. 127−132.
  61. Д.И. Новый метод синтеза цифровых фильтров на ПЛИС / Д. И. Каплун // Научно-технические проблемы в промышленности (сборник тезисов конференции).- 2008. С.ЗО.
  62. Д.И. Новый метод синтеза цифровых фильтров на ПЛИС / Д. И. Каплун // Научно-технические проблемы в промышленности (сборник трудов конференции).— 2008. С.ЗО.
  63. Д.И. Методы цифровой фильтрации в задачах мониторинга ШЧД/ Д. И. Каплун //Сборник аннотаций XIII Санкт-Петербургской Ассамблеи молодых ученых и специалистов 2008.
  64. Д.И. Нерекурсивные цифровые фильтры без умножений / Д. И. Каплун // Информация и космос. 2009 — № 1 -С.43−51.
  65. Д.И. Применение метода симметрирования АЧХ при синтезе нерекурсивных цифровых фильтров/ Д. И. Каплун, Т. В. Меркучева // Научно-технические ведомости СПбГПУ. Информатика. Телекоммуникации. Управление.- 2009. № 2. — С. 104−110.
  66. Д.И. Новый метод синтеза фильтров на ПЛИС/ Д. И. Каплун, Т.В. Меркучева// Вопросы радиоэлектроники 2009.-сер. ОТ, вып. 2. — С.81−90.
  67. Д.И. Частотно-временной анализ банком цифровых фильтров/ Л. Г. Азаренков, А. В. Будилов, И. И. Канатов, Д.И. Каплун// Компоненты и технологии. 2009. — № 3. — С. 122−126.
  68. Д.И. Синтез нового класса нерекурсивных цифровых фильтров без умножений/ Д. И. Каплун, Д.В. Миненков// Компоненты и технологии.-2009.-№ 6. С. 115−120.
  69. Д.И. Новый метод синтеза цифровых фильтров с конечными импульсными характеристиками/ Д. И. Каплун, А. А. Ланнэ, Т.В. Меркучева// Вестник Академии военных наук. 2009 — № 3(28).-С.80−83.
Заполнить форму текущей работой